カスタムデバイスグループ/ Advanced Materials and Devices Integration Group

現在位置産総研ホーム組織 > デバイス技術研究部門 > カスタムデバイスグループ >  活動

    論文発表 (2016年まで)

2016 Nov. H. Shimawaki ,M. Nagao, Y. Neo, H. Mimura, F. Wakaya, M. Takai, "Electron emission properties of gated silicon field emitter arrays driven by laser pulses", Applied Physics Letters, Vol.109, 1, 183106 (2016). 
2016   S. Sakai and M. Takahashi, "Novel application of FeFETs to NAND flash memory circuits," Topic in Applied Physics 131: Ferroelectrics-gate Field Effect Transistor Memories, Chapter 13, Springer, 2016.
2016   M. Takahashi and S. Sakai, "Development of high-endurance and long-retention FeFETs of Pt/CaySr1-yBi2Ta2O9/(HfO2)x(Al2O3)1-x/Si gate stacks, Topic in Applied Physics 131: Ferroelectric-gate Field Effect Transistor memories, Chapter 2, Springer, 2016
2016   M. Nagao, "Fabrication of Field Emitter Array with a Built-in Gate and Focusing Electrode",Journal of the Vacuum Society of Japan,59-4,pp.108-111, (2016).
2016   M. Nagao, Y. Gotoh, Y. Neo, H. Mimura, "Beam profile measurement of volcano-structured double-gate Spindt-type field emitter arrays",Journal of Vacuum Science & Technology B,Vol. 34, No. 2,p.02G108, (2016).
2016   K. Murakami, S. Tanaka, A. Miyashita, M. Nagao, Y. Nemoto, M. Takeguchi, J. Fujita, "Graphene-oxide-semiconductor planar type electron emission device", Applied Physics Letters, 108, p.083506, (2016).
2015   Y. X. Liu, T. Nabatame, N. Nguyen, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikiyow, M. Masahara, "Channel Shape and Interpoly Dielectric Material Effects on Electrical Characteristics of Floating-Gate-Type Three-Dimensional Fin Channel Flash Memories", Jpn. J. Appl. Phys. 54, p. 04DD04, (2015).
2015   Y. X. Liu, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, M. Masahara, "Highly Vt Tunable and Low Variability Triangular Fin-Channel MOSFETs on SOTB", Microelectronic Engineering, Vol. 147, pp. 290-293, (2015).
2015   L. V. Hai, M. Takahashi, W. Zhang, S. Sakai, "100-nm-size ferroelectric-gate field-effect transistor with 108-cycle endurance," Japanese Journal of Applied Physics, Vol. 54pp. 088004-1 - 088004-3  (2015) .
2015   L. V. Hai, M. Takahashi, W. Zhang, S. Sakai, “Novel process for widening memory window of sub-200nm ferroelectric-gate field-effect transistor by ferroelectric coating the gate stack sidewall,” Semiconductor Science and Technology, Vol.30,  015024 (2015). 
2015   M. Nagao and T. Yoshida, "Fabrication of gated nano electron-source for vacuum nanoelectronics",MICROELECTRONIC ENGINEERING,132-1,pp.14-20, (2015).
2014   Y. X. Liu, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, M. Masahara, "Experimental Study of Three-Dimensional Fin-Channel Charge Trapping Flash Memories with Titanium Nitride and Polycrystalline Silicon Gates", Jpn. J. Appl. Phys. 53, p. 04ED16, (2014).
2014   Y. X. Liu, T. Nabatame, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikiyow, M. Masahara, "Comparative Study of Charge Trapping Type SOI-FinFET Flash Memories with Different Blocking Layer Materias", Journal of Low Powere Electronics and Applications, Vol. 4, pp. 153-167, (2014).
2013   Y. X. Liu, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "Gate Structure Dependence of Variability in Polycrystalline Silicon Fin-Channel Flash Memories", Jpn. J. Appl. Phys. 52, p. 06GE01, (2013).
2013   W. Zhang, M. Takahashi, S. Sakai, "Electrical properties of CaxSr1-xBi2Ta2O9 ferroelectrics-gate field effect transistors" Semiconductor Science and Technology, Vol. 28, 085003, (2013).
2012   Y. X. Liu, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "Fabrication of Floating-Gate-Type Fin-Channel Double-and Tri-Gate Flash Memories and Comparative Study of Their Electrical Characteristics", Jpn. J. Appl. Phys. 51, p. 04DD03, (2012).
2012   Y. X. Liu, R. F. Guo, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "Experimental Study of Floating-Gate-Type Metal-Oxide-Semiconductor Capacitors with Nanosize Triangular Cross-Sectional Tunnel Areas for Low Operating Voltage Flash Memory Application", Jpn. J. Appl. Phys. 51, p. 06FF01, (2012).
2012   Y. X. Liu, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "Variability Analysis of Scaled Crystal Channel and Poly-Si Channel FinFETs", IEEE Transactions on Electron Devices, Vol. 59, No. 3, pp. 573-581, (2012).
2012   K. Yan, M. Takahashi, S. Sakai, "Electrical properties of ferroelectric-gate FETs with SrBi2Ta2O9 formed using MOCVD technique", Applied Physics A: Material Science & Processing, Vol. 108, pp. 835-842, (2012).
2012   X. Zhang, M. Takahashi, S. Sakai, "FeFET Logic Circuits for Operating A 64 kb FeNAND Flash Memory Array", Integrated Ferroelectrics, Vol.132, pp.114-121 (2012).
2012   X. Zhang, M. Takahashi, K. Takeuchi, S. Sakai, “First 64 kb Ferroelectric-NAND Flash Memory Array with 7.5 V Program, 10 8 Endurance and Long Data Retention”, Japanese Journal of Applied Physics, Vol. 51, p.04DD01 (2012). 
2011   T. Yoshida, M. Nagao, S. Kanemaru, "Ion induced bending (IIB) phenomenon for 3-D structure fabrication, Surface and Coating Technology, 206-5, pp.775-780, (2011).
2011   T. Yoshida, T. Nishi, M. Nagao, T. Shimizu, S. Kanemaru, "Integration of thin film transistor and vertical thin film field emitter array using Ion-Induced Bending", Journal of Vacuum Science & Technology B, Vol. 29, No. 3, 032205 (2011).

 

    学会発表 (2016年まで)

2016 Dec. 岡本保、猪狩朋也、後藤康仁、辻博司、長尾昌善、増澤智昭、根尾陽一郎、三村秀典、秋吉優史、佐藤信浩、木郁二、”耐放射線性小型撮像素子用CdTe系光電変換膜の開発”、応用物理学会多源系化合物·太陽電池研究会、郡山、2016/12
2016 Dec. 後藤康仁、辻博司、長尾昌善、増澤智昭、根尾陽一郎、三村秀典、猪狩朋也、岡本保、秋吉優史、佐藤信浩、木郁二、"耐放射線微小真空冷陰極撮像素子のガンマ線照射に対する耐久性"、2016年真空表面科学合同講演会、名古屋、2016/12
2016 Dec. 後藤康仁、辻博司、長尾昌善、秋吉優史、木郁二、”エックス線照射下におけるフィールドエミッタアレイの動作特性評価装置”、2016年真空表面科学合同講演会、名古屋、2016/12
2016 Dec. 長尾昌善、村上勝久、後藤康仁、三村秀典、根尾陽一郎、”耐放射線イメージセンサ用集束電極一体型フィールドエミッタアレイの開発 ”、2016年真空·表面科学合同講演会、名古屋、2016/12
2016 Nov. 成田智基、谷口日向、大家渓、中野武雄、長尾昌善、大崎壽、” プラズマ電位を制御したHPPMSによるSpindt型エミッタ陰極の作製(2)”、2016年真空·表面科学合同講演会、名古屋、2016/11/29
2016 Nov. 木村光祐、磯村航、大家渓、中野武雄、長尾昌善、大崎壽、”反応性スパッタにおけるモード遷移現象の普遍性と膜物性予測”、2016年真空·表面科学合同講演会、名古屋、2016/11
2016   K. Murakami, S. Tanaka, M. Nagao, Y. Nemoto, M. Takeguchi, J. Fujita, "Fabrication and characterization of planar-type electron emission devices based on graphene-oxide-semicondocutor structure", 11th International Vacuum Electron Sources Conference, Seoul, Korea, 2016/10.
2016   村上勝久, 田中駿丞, 長尾昌善, 根本善弘, 竹口雅樹, 藤田淳一, "グラフェンをゲート電極に用いた平面電子放出素子", 電子情報通信学会電子デバイス研究会, 三重, 2016/10
2016   長尾昌善, 村上勝久, 辰巳憲之, クンプアンソマワン, 原史朗, 後藤康仁, "ミニマルファブを活用した微小電子源の作製", 電子情報通信学会電子デバイス研究会, 三重, 2016/10
2016   W. Zhang, M. Takahashi, Y. Sakai, M. Kusuhara, S. Sakai, "3.3 V write-voltage Ir/Ca0.2Sr0.8Bi2Ta2O9/HfO2/Si ferroelectric-gate field-effect transistor with 109 endurance and good retention", International Conference on Solid State Devices and Materials (SSDM), Tsukuba, 2016/09.
2016   柳永, クンプアンソマワン, 長尾昌善, 松川貴, 原史朗, "ミニマルSOI-MOSFETに於ける実効キャリア移動度評価", 第77回応用物理学会秋季学術講演会, 新潟, 2016/09
2016   村上勝久, 田中駿丞, 長尾昌善, 根本善弘, 竹口雅樹, 藤田淳一, "GOS(Graphene-Oxide-Semiconductor)型電子放出素子の電子放出特性", 第77回応用物理学会秋季学術講演会, 新潟, 2016/09
2016   長尾昌善, 後藤康仁, 三村秀典, 根尾陽一郎, クンプアンソマワン, 原史朗, "ボルケーノ構造スピント型Niフィールドエミッタアレイの長時間動作", 第77回応用物理学会秋季学術講演会, 新潟, 2016/09
2016   長尾昌善, 辰巳憲之, 村上勝久, クンプアン ソマワン, 原史朗, 後藤康仁, "ミニマルファブを利用したスピント型フィールドエミッタアレイの試作", 第77回応用物理学会秋季学術講演会, 新潟, 2016/09.
2016   K. Murakami, S. Tanaka, T. Iijima, M. Nagao, Y. Nemoto, M. Takeguchi, J. Fujita, "Electron emission properties of graphene-oxide-semiconductor planar-type electron emission devices", 29th International Vacuum Nanoelectronics Conference, Vancouver, Canada, 2016/07.
2016   Y. X. Liu, S. Kumpuang, M. Nagao, T. Matsukawa, S. Hara, "Fabrication of PVD-TiN Metal-Gate SOI-CMOS Integrated Circuits Using Minimal-Fab and Mega-Fab Hybrid Process", IEEE Nano, Sendai, Japan, 2016/08.
2016 Invited Y. X. Liu, T. Nabatame, T. Matsukawa, K. Endo, S. O'uchi, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikiyow, M. Masahara, "Floating Gate Type SOI-FinFET Flash Memories with Different Channel Shapes and Interpoly Dielectric Materials", 229th Meeting of The Electrochemical Society (ECS), San Diego, USA, 2016/05.
2016   柳永, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 石川由紀, 水林亘, 森田行則, 右田真司, 大田裕之, 昌原明植, "しきい値電圧制御可能な三角チャネルFinFETの作製及び電気特性評価", 応用物理春季学術講演会, 2016/03
2016   柳永, S. Khumpuang, 長尾昌善, 原史朗, "ミニマル·メガファブハイブリッドプロセスによるSOI-CMOS集積回路の作製及び電気特性評価", 応用物理春季学術講演会, 2016/03
2016   柳永, S. Khumpuang, 長尾昌善, 松川貴, 原史朗, "ミニマルSOI-MOSFETにおける実効キャリア移動度評価", 応用物理秋季学術講演会, 2016/09
2015   M. Nagao, Y. Gotoh, T. Masuzawa, Y. Neo, H. Mimura, "Revised Fabrication of Volcano-structured Double-Gate Spindt-type FEA", 22nd International Display Workshops, Ohtsu, Japan, 2015/12
2015   S. Tanaka, K. Murakami, M. Nagao, J. Fujita, "Fabrication of GOS (Graphene/Oxide/ Semiconductor) Type Electron Emission Devices", 22nd International Display Workshops, Ohtsu, Japan, 2015/12
2015 依頼 長尾昌善, "微細加工で作るフィールドエミッタアレイ-新しい応用に向けて-", 日本真空学会関西支部実用技術セミナー, 大阪, 2015/12
2015   長尾昌善, "集束電極一体型フィールドエミッタアレイの作製プロセス", 第56回真空に関する連合講演会, つくば市, 2015/12
2015 Invited M. Nagao, Y. Gotoh, Y. Neo, H. Mimura, "Structure Optimization of volcano-structured double-gate Spindt-type field emitter arrays for focused el ectron beam",Korea-Japan Vacuum Nanoelectronics Symposium, Seoul, Korea, 2015/10
2015   長尾昌善, "ボルケーノ構造を有するスピント型Mo/Niフィールドエミッタアレイの課題",電子情報通信学会 電子デバ イス研究会, 名古屋, 2015/10
2015   M. Nagao, Y. Gotoh, Y. Neo, H. Mimura, "Beam profile measurement of volcano-structured double-gated Spindt-type field emitter arrays",29th International Vacuum nanoelectronics Conference, Gangzhou, China, 2015/07
2015   長尾 昌善, 後藤 康仁, 増澤智昭, 根尾 陽一郎, 三村 秀典, "ボルケーノ構造ダブルゲートスピント型フィールドエミッタアレイのビーム集束特性", 第76回応用物理学会秋季学 術講演会, 名古屋, 2015/09
2015   村上 勝久、宮下 晃、田中 駿丞、Gu XiZi、飯島 拓也、長尾 昌善、藤田 淳一, "GOS型電子放出素子の作製", 第76回応用物理学会秋季学術講演会, 名古屋, 2015/09
2015 依頼 長尾昌善, "集束電極一体型微小電子源の作製",日本学術振興会第132委員会,東京, 2015/01
2015 invited 長尾昌善, "イメージセンサ用途を目指したボルケーノ構造ダブルゲートスピント型エミッタの開発",第12回真空ナノエレクトロニクスシンポジウム,浜松, 2015/03
2015   長尾 昌善, 後藤 康仁, 佐藤 信浩, 秋吉 優史, 木 郁二, "スピント型エミッタの放射線耐性の評価",応用物理学会春季学術講演会,平塚市, 2015/03
2015   Y. X. Liu, T. Matsukawa, K Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, M. Masahara, "Experimental Study of Variability in Polycrystalline and Crystalline Silicon Channel FinFET CMOS Inverters", International Symposium on VLSI Technology and Applications (VLSI-TSA), Hsinchu, Taiwan, 2015/04 
2015   Y. X. Liu, T. Matsukawa, K Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, M. Masahara, "Highly Vt Tunable and Low Variability Triangular Fin-Channel MOSFETs on SOTB", International Conference on Insulating Films on Semiconductors (INFOS), Udine, Italy, 2015/06 
2015   柳永, 生田部俊秀, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 水林亘, 森田行則, 右田真司, 大田裕之, 知京豊裕, 昌原明植, "浮遊ゲート型三次元FinFETフラッシュメモリ電気特性のチャネル形状依存性", 応用物理春季学術講演会, 2015/03
2015   柳永, 堀洋平, 大野守史, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 水林亘, 森田行則, 右田真司, 大田裕之, 昌原明植, "ポリシリコンと結晶シリコンチャネルFinFET CMOSインバータの作製及びその特性ばらつきの比較評価", 応用物理秋季学術講演会, 2016/09
2014   M. Nagao, "Fabrication and Emission characteristics of Ni and Mo Spindt-type FEAs with Volcano-Structured Focusing Electrode", International Display Workshops, Niigata, Japan, 2014/12
2014 Invited M. Nagao, "Volcano-structured double-gate Spindt-type FEA", 6th Japan-Korea Vacuum Nanoelectronics Symposium, Hachinohe, Japan, 2014/10
2014 Invited M. Nagao, S. Yoshizawa, "Double-gated Spindt-type Ni emitter for display and image sensor application", International Meeting on Information Display (IMID), Daegu, Korea, 2014/8
2014 Invited M. Nagao "A novel Spindt-type field emitter with a volcano-structured double-gate and its application to image sensor", International Nanoelectronics Conference, Sapporo, 2014/7
2014   M. Nagao, S. Yoshizawa, "Fabrication of Spindt-type double-gated field emitters using photoresist lift-off layer", International Vacuum Nanoelectronics Conference, Engelberg, Switzerland, 2014/07
2014 Invited Y. X. Liu, T. Nabatame, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikiyow, M. Masahara, "Charge Trapping Type SOI-FinFET Flash Memory", 225th Meeting of The Electrochemical Society (ECS), Orlando, USA, 2014/05.
2014   Y. X. Liu, T. Nabatame, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikiyow, M. Masahara, "Fabrication and Characterization of 3D Fin-Channel MANOS Type Flash Memory", IEEE Silicon Nanoelectronics Workshop (SNW), Honolulu, Hawaii, USA, 2014/06.
2014 Invited Y. X. Liu, T. Nabatame, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikiyow, M. Masahara, "Experimental Study of Charge Trapping Type FinFET Flash Memory", IEEE International Nanoelectronics Conference (INEC), Sapporo, Japan, 2014/07.
2014   Y. X. Liu, T. Nabatame, N. Naguyen, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikiyow, M. Masahara, "Comparative Study of Floating Gate Type 3D Fin-Channel Flash Memories with Different Channel Shape and Interpoly Dielectric Layers", International Conference on Solid State Devices and Materials (SSDM), Tsukuba, Japan, 2014/09.
2014   L. V. Hai, M. Takahashi, W. Zhang, S. Sakai, "10 8 Endurance Nonvolatile Memory Transistor with 100 nm Metal Gate", International Conference on Solid State Devices and Materials (SSDM), pp.434-435, Tsukuba, 2014/09.
2014 Invited Y. X. Liu, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, M. Masahara, "Gate and Blocking Layer Materials Effects on Electrical Characteristics of Charge Trapping Type SOI-FinFET Flash Memories", International Conference on Energy Materials and Nenotechnology (EMN), Chengdu, China, 2014/09.
2014   柳永, 生田部俊秀, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 水林亘, 森田行則, 右田真司, 大田裕之, 知京豊裕, 昌原明植, "高誘電率Al2O3ブロック絶縁膜を用いたチャージトラップ型FinFETフラッシュメモリの作製及び電気特性評価", 応用物理春季学術講演会, 2014/03
2014   柳永, 生田部俊秀, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 水林亘, 森田行則, 右田真司, 大田裕之, 知京豊裕, 昌原明植, "三次元Finチャネルを用いたMANOS型フラッシュメモリの作製及び電気特性評価", 応用物理秋季学術講演会, 2014/09
2014   塩田隆、大木敦己、天野佳之、二之宮成樹、森貴洋、大平恒公、 板谷太郎、安藤 淳, 自己検知型AFM式ナノプローバによる遷移金属ダイカルコゲナイド薄片試料の電気特性評価", 2014年 第61回応用物理学会春季学術講演会, 相模原市, 2014/03
2013 Invited M. Nagao and T. Yoshida, "Fabrication of Spindt-type FEAs with volcano-structured focusing electrode", International Display Workshops (IDW'13), Sapporo, 2013/12
2013 Invited M. Nagao, T. Yoshida, S. Yoshizawa, "Fabrication of Spindt-type FEA using Photoresist lift-off layer", Korea-Japan Vacuum Nanoelectronics Symposium, Seoul, Korea, 2013/10
2013 Invited Y. X. Liu, T. Matsukawa, K. Endo, S. O'uchi, M. Masahara, "Comaparative Study of Polycrystalline- and Crystalline-Silicon Channel FinFETs and Tri-Gate Flash Memories", World Congress of Advanced Materials (WCAM), Suzhou, China, 2013/06.
2013   Y. X. Liu, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, M. Masahara, "Comparative Study of TiN Metal Gate and Poly-Si Gate Charge-Trapping Type FinFET Flash Memories", IEEE Silicon Nanoelectronics Workshop (SNW), Kyoto, Japan, 2013/06.
2013   Y. X. Liu, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, M. Masahara, "Experimental Study of 3D Fin-Channel Charge Trapping Flash Memories with TiN Metal Gate and Poly-Si Gates", International Conference on Solid State Devices and Materials (SSDM), Fukuoka, Japan, 2013/09.
2013 Invited Y. X. Liu, T. Matsukawa, K. Endo, S. O'uchi, M. Masahara, "Advanced FinFET Technology and Its Application for FinFET Flash Memory", International Conference on Energy Materials and Nanotechnology (EMN), Beijing, China, 2013/09.
2013   Y. X. Liu, T. Nabatame, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, W. Mizubayashi, Y. Morita, S. Migita, H. Ota, T. Chikyow, M. Masahara, "Charge Trapping Type FinFET Flash Memory with Al2O3 Blocking Layer", IEEE International S3S Conference, Monterey, USA, 2013/10.
2013   柳永, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 水林亘, 森田行則, 右田真司, 大田裕之, 昌原明植, "浮遊ゲート型ポリシリコンチャネルFinFETフラッシュメモリ電気特性のゲート構造依存性", 応用物理秋季学術講演会, 2013/09
2013   柳永, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 水林亘, 森田行則, 右田真司, 大田裕之, 昌原明植, "TiNメタルゲートとポリシリコンゲートを用いたチャージトラップ型FinFETフラッシュメモリ電気特性の比較評価", 応用物理秋季学術講演会, 2013/09
2012 Invited M. Nagao, T. Yoshida, T. Nishi, N. Koda, "A novel fabrication of Spindt-type field emitters with focusing electrode", International Vacuum Nanoelectronics Conference, Jeju, Korea, 2012/7
2012 Invited Y. X. Liu, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "FinFET Flash Memory Technology", 221th Meeting of The Electrochemical Society (ECS), Seattle, USA, 2012/05.
2012   Y. X. Liu, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "Comparative Study of Tri-Gate- and Double-Gate-Type Poly-Si Fin-Channel Split-Gate Flash Memories", IEEE Silicon Nanoelectronics Workshop (SNW), Honolulu, Hawaii, USA, 2012/06.
2012 Invited Y. X. Liu, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "Experimetal Study of Tri-Gate SOI-FinFET Flash Memories", IEEE International SOI Conference, Napa, USA, 2012/09.
2012   Y. X. Liu, T. Kamei, T. Matsukawa, K. Endo, S. O'uchi, J. Tsukada, H. Yamauchi, Y. Ishikawa, T. Hayashida, K. Sakamoto, A. Ogura, M. Masahara, "Gate Structure Dependence of Variability in Poly-Si FinFET Flash Memories", International Microprocesses and Nanotechnology Conference (MNC), Kobe, Japan, 2012/10.
2012 invited 柳永, 亀井貴弘, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 林田哲郎, 坂本邦博, 小椋厚志, 昌原明植, "FinFETフラッシュメモリの作製及び電気特性評価", 第76回半導体·集積回路技術シンポジウム, 2012/07
2012   柳永, 郭若峰, 亀井貴弘, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 林田哲郎, 坂本邦博, 小椋厚志, 昌原明植, "微細な三角形状トンネル領域を持つFloating-Gate型MOSキャパシタの作製及び電気特性評価", 応用物理春季学術講演会, 2012/03
2012   柳永, 亀井貴弘, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 林田哲郎, 坂本邦博, 小椋厚志, 昌原明植, "Double-GateとTri-Gate構造を用いたFinFETフラッシュメモリ電気特性の比較評価", 応用物理春季学術講演会, 2012/03
2012   柳永, 亀井貴弘, 松川貴, 遠藤和彦, 大内真一, 塚田順一, 山内洋美, 石川由紀, 林田哲郎, 坂本邦博, 小椋厚志, 昌原明植, "スプリットゲート型ポリシリコンチャネルFinFETフラッシュメモリの作製及び電気特性評価", 応用物理秋季学術講演会, 2012/09
2011 Invited Field Emitter Array Technology developed in AIST, M. Nagao, T. Yoshida, International Meeting on Information Display (IMID) 2011, Seoul, Korea, 2011/10
2011   A. ANDO, T. Oohira, T. Shimizu, "Local anodization of MoS2 using electrical atomic force microscope", 19th International Colloquium on Scanning Probe Microscopy (ICSPM19), Toyako-Onsen, Hokkaido, Japan, 2011/12
2011   A. ANDO, T. Oohira, "Electrical Atomic Force Microscopy Studies of SiO2/Si(001) Structures", 6th International Symposium on Surface Science and Nanotechnology, Tokyo, Japan, 2011/12